Closed loop thermal control of a lithographic optical component

More Info
expand_more

Abstract

In EUV lithography the high throughput and high absorption can cause thermal deformation of the mirrors, which induces image distortion. In a previous paper [1] we have presented an actuation mechanism that is suitable to compensate and correct for these thermal aberrations. In this paper we present a closed-loop control strategy to apply it on this actuation mechanism and its application on an experimental set-up.